Программная среда Quartus II версии 12.1 компании Altera ускоряет системную разработку благодаря усовершенствованным методам проектирования высокого уровня
 

Новая версия программного обеспечения Quartus II расширяет возможности высокоуровневой среды проектирования


Altera Corporation анонсировала новый релиз 12.1 своей популярной среды проектирования Quartus II — лучшей в отрасли САПР по уровню производительности и эффективности для микросхем программируемой логики CPLD, FPGA, SoC FPGA и заказных интегральных схем HardCopy® ASIC. Новая версия программного обеспечения Quartus II расширяет возможности высокоуровневой среды проектирования, еще более упрощая реализацию традиционных аппаратных функций и позволяя разработчику максимально эффективно использовать преимущества, предоставляемые широкой линейкой продуктов компании Altera. Quartus II версии 12.1 отличается усовершенствованной поддержкой высокоуровневых методов проектирования, за счет интеграции пакета разработчика ПО (Software Development Kit) SDK для OpenCLTM и расширенным возможностям системы интеграции Qsys и среды моделирования блоков цифровой обработки сигналов DSP Builder. Помимо этого, в новый релиз программного обеспечения включен ряд дополнений, таких как функция частичной реконфигурации системы, новый набор функциональных IP-ядер и расширенная поддержка ПЛИС FPGA и SoC FPGA с технологическими нормами 28-нм. Обновленная версия Quartus II позволит пользователям еще более сократить время разработки, реализации и вывода на рынок конечного решения на базе продуктов компании Altera.

Сокращение времени разработки за счет средств проектирования высокого уровня

Высокоуровневые средства разработки, предлагаемые Altera, включают базовые системы разработки на основе C-программирования, объектно- и модельно-ориентированного программирования. Данные средства поддерживают и упрощают разработку современных высокопроизводительных программируемых систем, включающих ядра ЦПУ, блоки цифровой обработки сигналов (DSP) и различные функциональные IP-ядра подсистем. Добавление пакета DSK для OpenCL позволяет системным разработчикам и программистам, знакомым с языком С, легко и быстро создавать функциональные, энергоэффективные приложения на базе FPGA, используя открытый язык программирования высокого уровня.

Расширения, коснувшиеся системы интеграции Qsys и инструмента проектирования блоков цифровой обработки сигналов DSP Builder также помогают увеличить эффективность разработки и производительность приложения. Система Qsys отличается расширенной поддержкой промышленно-стандартных протоколов AXI3 и AXI4 компании ARM®, а DSP Builder теперь поддерживает числа с плавающей точкой семи точностей, включая половинную, одинарную и двойную точность в соответствии стандарту IEEE 754. Дополнительно упростить проектирование системы позволят добавленные в последний релиз Quartus II функциональный IP-блок интерфейса 100G Interlaken, обеспечивающий высокоскоростную пакетную пересылку данных между микросхемами, и новый IP-блок монитора видео тракта для высокопроизводительных приложений обработки изображений.

Программная среда Quartus II версии 12.1 также включает первый рабочий релиз инструмента частичной реконфигурации системы для ПЛИС FPGA серии Stratix® V. Частичная реконфигурация обеспечивает гибкие возможности изменения ключевых функций устройства «на лету» в то время как остальная часть системы на FPGA остается в рабочем состоянии. Разработчики могут хранить различные функции во внешней памяти и загружать их в FPGA по мере необходимости, снижая тем самым размер вентильной матрицы, занимаемое место на печатной плате и энергопотребление.

Помимо этого, новая версия Quarrtus II дополнена поддержкой целого ряда новых устройств. Список пополнили несколько новых FPGA, выполненных по технологии 28 нм, семейства Stratix V, Arria® V и Cyclone® V, а также ряд систем-на-кристалле SoC FPGA, включая полную поддержку всей серии ПЛИС Arria V GZ. Ознакомиться со всеми новыми возможностями Quartus II версии 12.1 можно по адресу www.altera.com/whatsnew.

Цены и доступность

Обе версии, как распространяемая по подписке (Subscription Edition) так и бесплатная онлайн версия (Web Edition), Quatus II издания 12.1 доступны для скачивания на сайте Altera. Программа подписки упрощает покупку программного обеспечения компании Altera путем консолидации затрат на приобретение и поддержку программных продуктов в единый ежегодный платеж. Подписчик получает доступ к последней версии Quartus II, стартовой версии симулятора ModelSim® Altera Started Edition и полной лицензии на базовый пакет функциональных IP-блоков, включая 15 наиболее популярных IP-ядер компании Altera (DSP и память).



Опытные образцы доступны для заказа в ООО «Гамма Плюс»

Ссылки по теме

 
Автор документа: Антон Любтеев , http://www.icgamma.ru"
Дата публикации: 20.12.2012
Дата редактирования: 20.12.2012
Кол-во просмотров 3973
 
 Все новости одной лентой